Search Results for - Narrowed by: 2016 - Circuits and Systems. SirsiDynix Enterprise https://catalog.iyte.edu.tr/client/en_US/default/default/qf$003dPUBDATE$002509Publication$002bDate$0025092016$0025092016$0026qf$003dSUBJECT$002509Subject$002509Circuits$002band$002bSystems.$002509Circuits$002band$002bSystems.$0026ps$003d300? 2024-05-29T20:42:41Z Smart Systems Integration and Simulation ent://SD_ILS/0/SD_ILS:2086541 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Bombieri, Nicola. editor.<br/><a href="https://doi.org/10.1007/978-3-319-27392-1">https://doi.org/10.1007/978-3-319-27392-1</a><br/>Format:&#160;Electronic Resources<br/> Essential Knowledge for Transistor-Level LSI Circuit Design ent://SD_ILS/0/SD_ILS:2087187 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Nakura, Toru. author.<br/><a href="https://doi.org/10.1007/978-981-10-0424-7">https://doi.org/10.1007/978-981-10-0424-7</a><br/>Format:&#160;Electronic Resources<br/> Sinusoidal Oscillators and Waveform Generators using Modern Electronic Circuit Building Blocks ent://SD_ILS/0/SD_ILS:2086252 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Senani, Raj. author.<br/><a href="https://doi.org/10.1007/978-3-319-23712-1">https://doi.org/10.1007/978-3-319-23712-1</a><br/>Format:&#160;Electronic Resources<br/> Modular Load Flow for Restructured Power Systems ent://SD_ILS/0/SD_ILS:2086301 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Hariharan, M.V. author.<br/><a href="https://doi.org/10.1007/978-981-10-0497-1">https://doi.org/10.1007/978-981-10-0497-1</a><br/>Format:&#160;Electronic Resources<br/> Real Time Control Engineering Systems And Automation ent://SD_ILS/0/SD_ILS:2086741 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Ng, Tian Seng. author.<br/><a href="https://doi.org/10.1007/978-981-10-1509-0">https://doi.org/10.1007/978-981-10-1509-0</a><br/>Format:&#160;Electronic Resources<br/> Signal Integrity Applied Electromagnetics and Professional Practice ent://SD_ILS/0/SD_ILS:2086754 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Russ, Samuel H. author.<br/><a href="https://doi.org/10.1007/978-3-319-29758-3">https://doi.org/10.1007/978-3-319-29758-3</a><br/>Format:&#160;Electronic Resources<br/> Modern Stroke Rehabilitation through e-Health-based Entertainment ent://SD_ILS/0/SD_ILS:2087168 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Vogiatzaki, Emmanouela. editor.<br/><a href="https://doi.org/10.1007/978-3-319-21293-7">https://doi.org/10.1007/978-3-319-21293-7</a><br/>Format:&#160;Electronic Resources<br/> Advanced Microsystems for Automotive Applications 2015 Smart Systems for Green and Automated Driving ent://SD_ILS/0/SD_ILS:2086382 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Schulze, Tim. editor.<br/><a href="https://doi.org/10.1007/978-3-319-20855-8">https://doi.org/10.1007/978-3-319-20855-8</a><br/>Format:&#160;Electronic Resources<br/> Fundamentals of Bias Temperature Instability in MOS Transistors Characterization Methods, Process and Materials Impact, DC and AC Modeling ent://SD_ILS/0/SD_ILS:2086402 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Mahapatra, Souvik. editor.<br/><a href="https://doi.org/10.1007/978-81-322-2508-9">https://doi.org/10.1007/978-81-322-2508-9</a><br/>Format:&#160;Electronic Resources<br/> VLSI-SoC: Design for Reliability, Security, and Low Power 23rd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected Papers ent://SD_ILS/0/SD_ILS:2084766 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Shin, Youngsoo. editor.<br/><a href="https://doi.org/10.1007/978-3-319-46097-0">https://doi.org/10.1007/978-3-319-46097-0</a><br/>Format:&#160;Electronic Resources<br/> Languages, Design Methods, and Tools for Electronic System Design Selected Contributions from FDL 2015 ent://SD_ILS/0/SD_ILS:2086596 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Drechsler, Rolf. editor.<br/><a href="https://doi.org/10.1007/978-3-319-31723-6">https://doi.org/10.1007/978-3-319-31723-6</a><br/>Format:&#160;Electronic Resources<br/> Microelectronics, Electromagnetics and Telecommunications Proceedings of ICMEET 2015 ent://SD_ILS/0/SD_ILS:2086364 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Satapathy, Suresh Chandra. editor.<br/><a href="https://doi.org/10.1007/978-81-322-2728-1">https://doi.org/10.1007/978-81-322-2728-1</a><br/>Format:&#160;Electronic Resources<br/> Logic Synthesis for FPGA-Based Finite State Machines ent://SD_ILS/0/SD_ILS:2086451 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Barkalov, Alexander. author.<br/><a href="https://doi.org/10.1007/978-3-319-24202-6">https://doi.org/10.1007/978-3-319-24202-6</a><br/>Format:&#160;Electronic Resources<br/> Software Design for Resilient Computer Systems ent://SD_ILS/0/SD_ILS:2087135 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Schagaev, Igor. author.<br/><a href="https://doi.org/10.1007/978-3-319-29465-0">https://doi.org/10.1007/978-3-319-29465-0</a><br/>Format:&#160;Electronic Resources<br/> SystemVerilog Assertions and Functional Coverage Guide to Language, Methodology and Applications ent://SD_ILS/0/SD_ILS:2087235 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Mehta, Ashok B. author.<br/><a href="https://doi.org/10.1007/978-3-319-30539-4">https://doi.org/10.1007/978-3-319-30539-4</a><br/>Format:&#160;Electronic Resources<br/> On-chip High-Voltage Generator Design Design Methodology for Charge Pumps ent://SD_ILS/0/SD_ILS:2087335 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Tanzawa, Toru. author.<br/><a href="https://doi.org/10.1007/978-3-319-21975-2">https://doi.org/10.1007/978-3-319-21975-2</a><br/>Format:&#160;Electronic Resources<br/> Tunneling Field Effect Transistor Technology ent://SD_ILS/0/SD_ILS:2087242 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Zhang, Lining. editor.<br/><a href="https://doi.org/10.1007/978-3-319-31653-6">https://doi.org/10.1007/978-3-319-31653-6</a><br/>Format:&#160;Electronic Resources<br/> Soft Error Mechanisms, Modeling and Mitigation ent://SD_ILS/0/SD_ILS:2086802 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Sayil, Selahattin. author.<br/><a href="https://doi.org/10.1007/978-3-319-30607-0">https://doi.org/10.1007/978-3-319-30607-0</a><br/>Format:&#160;Electronic Resources<br/> Phasor Power Electronics ent://SD_ILS/0/SD_ILS:2086811 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Rim, Chun T. author.<br/><a href="https://doi.org/10.1007/978-981-10-0536-7">https://doi.org/10.1007/978-981-10-0536-7</a><br/>Format:&#160;Electronic Resources<br/> Memristor-Based Nanoelectronic Computing Circuits and Architectures ent://SD_ILS/0/SD_ILS:2087729 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Vourkas, Ioannis. author.<br/><a href="https://doi.org/10.1007/978-3-319-22647-7">https://doi.org/10.1007/978-3-319-22647-7</a><br/>Format:&#160;Electronic Resources<br/> Multiband RF Circuits and Techniques for Wireless Transmitters ent://SD_ILS/0/SD_ILS:2086821 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Chen, Wenhua. author.<br/><a href="https://doi.org/10.1007/978-3-662-50440-6">https://doi.org/10.1007/978-3-662-50440-6</a><br/>Format:&#160;Electronic Resources<br/> Heterogeneous Reconfigurable Processors for Real-Time Baseband Processing From Algorithm to Architecture ent://SD_ILS/0/SD_ILS:2087461 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Zhang, Chenxin. author.<br/><a href="https://doi.org/10.1007/978-3-319-24004-6">https://doi.org/10.1007/978-3-319-24004-6</a><br/>Format:&#160;Electronic Resources<br/> Circuiti Fondamenti di circuiti per l'Ingegneria ent://SD_ILS/0/SD_ILS:2087369 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;de Magistris, Massimiliano. author.<br/><a href="https://doi.org/10.1007/978-88-470-5770-8">https://doi.org/10.1007/978-88-470-5770-8</a><br/>Format:&#160;Electronic Resources<br/> Brain-Machine Interface Circuits and Systems ent://SD_ILS/0/SD_ILS:2087695 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Zjajo, Amir. author.<br/><a href="https://doi.org/10.1007/978-3-319-31541-6">https://doi.org/10.1007/978-3-319-31541-6</a><br/>Format:&#160;Electronic Resources<br/> Microelectronics From Fundamentals to Applied Design ent://SD_ILS/0/SD_ILS:2087425 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Di Paolo Emilio, Maurizio. author.<br/><a href="https://doi.org/10.1007/978-3-319-22545-6">https://doi.org/10.1007/978-3-319-22545-6</a><br/>Format:&#160;Electronic Resources<br/> Remote Powering and Data Communication for Implanted Biomedical Systems ent://SD_ILS/0/SD_ILS:2088301 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Kilinc, Enver Gurhan. author.<br/><a href="https://doi.org/10.1007/978-3-319-21179-4">https://doi.org/10.1007/978-3-319-21179-4</a><br/>Format:&#160;Electronic Resources<br/> Ultra-Low-Power and Ultra-Low-Cost Short-Range Wireless Receivers in Nanoscale CMOS ent://SD_ILS/0/SD_ILS:2086702 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Lin, Zhicheng. author.<br/><a href="https://doi.org/10.1007/978-3-319-21524-2">https://doi.org/10.1007/978-3-319-21524-2</a><br/>Format:&#160;Electronic Resources<br/> Design for Manufacturability with Advanced Lithography ent://SD_ILS/0/SD_ILS:2087646 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Yu, Bei. author.<br/><a href="https://doi.org/10.1007/978-3-319-20385-0">https://doi.org/10.1007/978-3-319-20385-0</a><br/>Format:&#160;Electronic Resources<br/> Efficient Sensor Interfaces, Advanced Amplifiers and Low Power RF Systems Advances in Analog Circuit Design 2015 ent://SD_ILS/0/SD_ILS:2087312 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Makinwa, Kofi A.A. editor.<br/><a href="https://doi.org/10.1007/978-3-319-21185-5">https://doi.org/10.1007/978-3-319-21185-5</a><br/>Format:&#160;Electronic Resources<br/> Silicon Nanowire Transistors ent://SD_ILS/0/SD_ILS:2087586 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Bindal, Ahmet. author.<br/><a href="https://doi.org/10.1007/978-3-319-27177-4">https://doi.org/10.1007/978-3-319-27177-4</a><br/>Format:&#160;Electronic Resources<br/> Parallel Power Electronics Filters in Three-Phase Four-Wire Systems Principle, Control and Design ent://SD_ILS/0/SD_ILS:2087593 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Wong, Man-Chung. author.<br/><a href="https://doi.org/10.1007/978-981-10-1530-4">https://doi.org/10.1007/978-981-10-1530-4</a><br/>Format:&#160;Electronic Resources<br/> Reversible and Quantum Circuits Optimization and Complexity Analysis ent://SD_ILS/0/SD_ILS:2088245 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Abdessaied, Nabila. author.<br/><a href="https://doi.org/10.1007/978-3-319-31937-7">https://doi.org/10.1007/978-3-319-31937-7</a><br/>Format:&#160;Electronic Resources<br/> IP Cores Design from Specifications to Production Modeling, Verification, Optimization, and Protection ent://SD_ILS/0/SD_ILS:2086831 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Mohamed, Khaled Salah. author.<br/><a href="https://doi.org/10.1007/978-3-319-22035-2">https://doi.org/10.1007/978-3-319-22035-2</a><br/>Format:&#160;Electronic Resources<br/> Linear and Integer Programming Made Easy ent://SD_ILS/0/SD_ILS:2086832 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Hu, T. C. author.<br/><a href="https://doi.org/10.1007/978-3-319-24001-5">https://doi.org/10.1007/978-3-319-24001-5</a><br/>Format:&#160;Electronic Resources<br/> A Second-Order &Sigma;&Delta; ADC Using Sputtered IGZO TFTs ent://SD_ILS/0/SD_ILS:2086833 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Correia, Ana Paula Pinto. author.<br/><a href="https://doi.org/10.1007/978-3-319-27192-7">https://doi.org/10.1007/978-3-319-27192-7</a><br/>Format:&#160;Electronic Resources<br/> Fault-Tolerant Digital Microfluidic Biochips Compilation and Synthesis ent://SD_ILS/0/SD_ILS:2087468 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Pop, Paul. author.<br/><a href="https://doi.org/10.1007/978-3-319-23072-6">https://doi.org/10.1007/978-3-319-23072-6</a><br/>Format:&#160;Electronic Resources<br/> Languages, Design Methods, and Tools for Electronic System Design Selected Contributions from FDL 2014 ent://SD_ILS/0/SD_ILS:2087289 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Oppenheimer, Frank. editor.<br/><a href="https://doi.org/10.1007/978-3-319-24457-0">https://doi.org/10.1007/978-3-319-24457-0</a><br/>Format:&#160;Electronic Resources<br/> On-Chip Power Delivery and Management ent://SD_ILS/0/SD_ILS:2087835 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Vaisband, Inna P. author.<br/><a href="https://doi.org/10.1007/978-3-319-29395-0">https://doi.org/10.1007/978-3-319-29395-0</a><br/>Format:&#160;Electronic Resources<br/> 3D Stacked Chips From Emerging Processes to Heterogeneous Systems ent://SD_ILS/0/SD_ILS:2087472 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Elfadel, Ibrahim (Abe) M. editor.<br/><a href="https://doi.org/10.1007/978-3-319-20481-9">https://doi.org/10.1007/978-3-319-20481-9</a><br/>Format:&#160;Electronic Resources<br/> Fuzzy Logic Type 1 and Type 2 Based on LabVIEW&trade; FPGA ent://SD_ILS/0/SD_ILS:2087637 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Ponce-Cruz, Pedro. author.<br/><a href="https://doi.org/10.1007/978-3-319-26656-5">https://doi.org/10.1007/978-3-319-26656-5</a><br/>Format:&#160;Electronic Resources<br/> Near Threshold Computing Technology, Methods and Applications ent://SD_ILS/0/SD_ILS:2087523 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;H&uuml;bner, Michael. editor.<br/><a href="https://doi.org/10.1007/978-3-319-23389-5">https://doi.org/10.1007/978-3-319-23389-5</a><br/>Format:&#160;Electronic Resources<br/> High-Ratio Voltage Conversion in CMOS for Efficient Mains-Connected Standby ent://SD_ILS/0/SD_ILS:2087697 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Meyvaert, Hans. author.<br/><a href="https://doi.org/10.1007/978-3-319-31207-1">https://doi.org/10.1007/978-3-319-31207-1</a><br/>Format:&#160;Electronic Resources<br/> Design of Reconfigurable Logic Controllers ent://SD_ILS/0/SD_ILS:2087415 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Karatkevich, Andrei. editor.<br/><a href="https://doi.org/10.1007/978-3-319-26725-8">https://doi.org/10.1007/978-3-319-26725-8</a><br/>Format:&#160;Electronic Resources<br/> Crosstalk in Modern On-Chip Interconnects A FDTD Approach ent://SD_ILS/0/SD_ILS:2087417 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Kaushik, B.K. author.<br/><a href="https://doi.org/10.1007/978-981-10-0800-9">https://doi.org/10.1007/978-981-10-0800-9</a><br/>Format:&#160;Electronic Resources<br/> Memory Controllers for Mixed-Time-Criticality Systems Architectures, Methodologies and Trade-offs ent://SD_ILS/0/SD_ILS:2088389 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Goossens, Sven. author.<br/><a href="https://doi.org/10.1007/978-3-319-32094-6">https://doi.org/10.1007/978-3-319-32094-6</a><br/>Format:&#160;Electronic Resources<br/> Distributed Embedded Controller Development with Petri Nets Application to Globally-Asynchronous Locally-Synchronous Systems ent://SD_ILS/0/SD_ILS:2088226 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Moutinho, Filipe de Carvalho. author.<br/><a href="https://doi.org/10.1007/978-3-319-20822-0">https://doi.org/10.1007/978-3-319-20822-0</a><br/>Format:&#160;Electronic Resources<br/> Stretchable Bioelectronics for Medical Devices and Systems ent://SD_ILS/0/SD_ILS:2086510 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Rogers, John A. editor.<br/><a href="https://doi.org/10.1007/978-3-319-28694-5">https://doi.org/10.1007/978-3-319-28694-5</a><br/>Format:&#160;Electronic Resources<br/> FPGAs and Parallel Architectures for Aerospace Applications Soft Errors and Fault-Tolerant Design ent://SD_ILS/0/SD_ILS:2087345 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Kastensmidt, Fernanda. editor.<br/><a href="https://doi.org/10.1007/978-3-319-14352-1">https://doi.org/10.1007/978-3-319-14352-1</a><br/>Format:&#160;Electronic Resources<br/> Advanced Microsystems for Automotive Applications 2016 Smart Systems for the Automobile of the Future ent://SD_ILS/0/SD_ILS:2087431 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Schulze, Tim. editor.<br/><a href="https://doi.org/10.1007/978-3-319-44766-7">https://doi.org/10.1007/978-3-319-44766-7</a><br/>Format:&#160;Electronic Resources<br/> Runtime Reconfiguration in Networked Embedded Systems Design and Testing Practices ent://SD_ILS/0/SD_ILS:2087433 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Papp, Zoltan. editor.<br/><a href="https://doi.org/10.1007/978-981-10-0715-6">https://doi.org/10.1007/978-981-10-0715-6</a><br/>Format:&#160;Electronic Resources<br/> Reliable Software for Unreliable Hardware A Cross Layer Perspective ent://SD_ILS/0/SD_ILS:2087114 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Rehman, Semeen. author.<br/><a href="https://doi.org/10.1007/978-3-319-25772-3">https://doi.org/10.1007/978-3-319-25772-3</a><br/>Format:&#160;Electronic Resources<br/> Reconfigurable Transceiver Architecture for Multiband RF-Frontends ent://SD_ILS/0/SD_ILS:2087026 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Gonzalez Rodriguez, Erick. author.<br/><a href="https://doi.org/10.1007/978-3-319-24581-2">https://doi.org/10.1007/978-3-319-24581-2</a><br/>Format:&#160;Electronic Resources<br/> Engineering Applications of FPGAs Chaotic Systems, Artificial Neural Networks, Random Number Generators, and Secure Communication Systems ent://SD_ILS/0/SD_ILS:2087744 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Tlelo-Cuautle, Esteban. author.<br/><a href="https://doi.org/10.1007/978-3-319-34115-6">https://doi.org/10.1007/978-3-319-34115-6</a><br/>Format:&#160;Electronic Resources<br/> High Performance Integer Arithmetic Circuit Design on FPGA Architecture, Implementation and Design Automation ent://SD_ILS/0/SD_ILS:2087474 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Palchaudhuri, Ayan. author.<br/><a href="https://doi.org/10.1007/978-81-322-2520-1">https://doi.org/10.1007/978-81-322-2520-1</a><br/>Format:&#160;Electronic Resources<br/> Feedback in Analog Circuits ent://SD_ILS/0/SD_ILS:2087571 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Ochoa, Agustin. author.<br/><a href="https://doi.org/10.1007/978-3-319-26252-9">https://doi.org/10.1007/978-3-319-26252-9</a><br/>Format:&#160;Electronic Resources<br/> System-level Techniques for Analog Performance Enhancement ent://SD_ILS/0/SD_ILS:2087574 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Song, Bang-Sup. author.<br/><a href="https://doi.org/10.1007/978-3-319-27921-3">https://doi.org/10.1007/978-3-319-27921-3</a><br/>Format:&#160;Electronic Resources<br/> CMOS Indoor Light Energy Harvesting System for Wireless Sensing Applications ent://SD_ILS/0/SD_ILS:2088343 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Ferreira Carvalho, Carlos Manuel. author.<br/><a href="https://doi.org/10.1007/978-3-319-21617-1">https://doi.org/10.1007/978-3-319-21617-1</a><br/>Format:&#160;Electronic Resources<br/> FPGAs for Software Programmers ent://SD_ILS/0/SD_ILS:2087613 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Koch, Dirk. editor.<br/><a href="https://doi.org/10.1007/978-3-319-26408-0">https://doi.org/10.1007/978-3-319-26408-0</a><br/>Format:&#160;Electronic Resources<br/> The Boundary-Scan Handbook ent://SD_ILS/0/SD_ILS:2088352 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Parker, Kenneth P. author.<br/><a href="https://doi.org/10.1007/978-3-319-01174-5">https://doi.org/10.1007/978-3-319-01174-5</a><br/>Format:&#160;Electronic Resources<br/> Long Term Evolution 4G and Beyond ent://SD_ILS/0/SD_ILS:2088364 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Paradisi, Alberto. editor.<br/><a href="https://doi.org/10.1007/978-3-319-23823-4">https://doi.org/10.1007/978-3-319-23823-4</a><br/>Format:&#160;Electronic Resources<br/> Microfluidic Very Large Scale Integration (VLSI) Modeling, Simulation, Testing, Compilation and Physical Synthesis ent://SD_ILS/0/SD_ILS:2088011 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Pop, Paul. author.<br/><a href="https://doi.org/10.1007/978-3-319-29599-2">https://doi.org/10.1007/978-3-319-29599-2</a><br/>Format:&#160;Electronic Resources<br/> Nano Devices and Circuit Techniques for Low-Energy Applications and Energy Harvesting ent://SD_ILS/0/SD_ILS:2087722 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Kyung, Chong-Min. editor.<br/><a href="https://doi.org/10.1007/978-94-017-9990-4">https://doi.org/10.1007/978-94-017-9990-4</a><br/>Format:&#160;Electronic Resources<br/> Complexity-Aware High Efficiency Video Coding ent://SD_ILS/0/SD_ILS:2087724 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Corr&ecirc;a, Guilherme. author.<br/><a href="https://doi.org/10.1007/978-3-319-25778-5">https://doi.org/10.1007/978-3-319-25778-5</a><br/>Format:&#160;Electronic Resources<br/> Transformer-Based Design Techniques for Oscillators and Frequency Dividers ent://SD_ILS/0/SD_ILS:2088296 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Luong, Howard Cam. author.<br/><a href="https://doi.org/10.1007/978-3-319-15874-7">https://doi.org/10.1007/978-3-319-15874-7</a><br/>Format:&#160;Electronic Resources<br/> Architecture Exploration of FPGA Based Accelerators for BioInformatics Applications ent://SD_ILS/0/SD_ILS:2088312 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Varma, B. Sharat Chandra. author.<br/><a href="https://doi.org/10.1007/978-981-10-0591-6">https://doi.org/10.1007/978-981-10-0591-6</a><br/>Format:&#160;Electronic Resources<br/> Toward 5G Software Defined Radio Receiver Front-Ends ent://SD_ILS/0/SD_ILS:2086862 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Spiridon, Silvian. author.<br/><a href="https://doi.org/10.1007/978-3-319-32759-4">https://doi.org/10.1007/978-3-319-32759-4</a><br/>Format:&#160;Electronic Resources<br/> Millimeter-Wave Antennas: Configurations and Applications ent://SD_ILS/0/SD_ILS:2086682 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;du Preez, Jaco. author.<br/><a href="https://doi.org/10.1007/978-3-319-35068-4">https://doi.org/10.1007/978-3-319-35068-4</a><br/>Format:&#160;Electronic Resources<br/> CMOS RF Circuit Design for Reliability and Variability ent://SD_ILS/0/SD_ILS:2087236 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Yuan, Jiann-Shiun. author.<br/><a href="https://doi.org/10.1007/978-981-10-0884-9">https://doi.org/10.1007/978-981-10-0884-9</a><br/>Format:&#160;Electronic Resources<br/> Engineering Safe and Secure Cyber-Physical Systems The Specification PEARL Approach ent://SD_ILS/0/SD_ILS:2087073 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Gumzej, Roman. author.<br/><a href="https://doi.org/10.1007/978-3-319-28905-2">https://doi.org/10.1007/978-3-319-28905-2</a><br/>Format:&#160;Electronic Resources<br/> Techniques for Building Timing-Predictable Embedded Systems ent://SD_ILS/0/SD_ILS:2086704 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Guan, Nan. author.<br/><a href="https://doi.org/10.1007/978-3-319-27198-9">https://doi.org/10.1007/978-3-319-27198-9</a><br/>Format:&#160;Electronic Resources<br/> Digital Logic Design Using Verilog Coding and RTL Synthesis ent://SD_ILS/0/SD_ILS:2087093 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Taraate, Vaibbhav. author.<br/><a href="https://doi.org/10.1007/978-81-322-2791-5">https://doi.org/10.1007/978-81-322-2791-5</a><br/>Format:&#160;Electronic Resources<br/> Power Amplifiers for the S-, C-, X- and Ku-bands An EDA Perspective ent://SD_ILS/0/SD_ILS:2087736 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Božanić, Mladen. author.<br/><a href="https://doi.org/10.1007/978-3-319-28376-0">https://doi.org/10.1007/978-3-319-28376-0</a><br/>Format:&#160;Electronic Resources<br/> Energy Efficient Non-Road Hybrid Electric Vehicles Advanced Modeling and Control ent://SD_ILS/0/SD_ILS:2087479 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Unger, Johannes. author.<br/><a href="https://doi.org/10.1007/978-3-319-29796-5">https://doi.org/10.1007/978-3-319-29796-5</a><br/>Format:&#160;Electronic Resources<br/> Practical Electrical Engineering ent://SD_ILS/0/SD_ILS:2087298 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;N. Makarov, Sergey. author.<br/><a href="https://doi.org/10.1007/978-3-319-21173-2">https://doi.org/10.1007/978-3-319-21173-2</a><br/>Format:&#160;Electronic Resources<br/> Secure System Design and Trustable Computing ent://SD_ILS/0/SD_ILS:2087514 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Chang, Chip-Hong. editor.<br/><a href="https://doi.org/10.1007/978-3-319-14971-4">https://doi.org/10.1007/978-3-319-14971-4</a><br/>Format:&#160;Electronic Resources<br/> Principles of Performance and Reliability Modeling and Evaluation Essays in Honor of Kishor Trivedi on his 70th Birthday ent://SD_ILS/0/SD_ILS:2087599 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Fiondella, Lance. editor.<br/><a href="https://doi.org/10.1007/978-3-319-30599-8">https://doi.org/10.1007/978-3-319-30599-8</a><br/>Format:&#160;Electronic Resources<br/> Design of Efficient and Safe Neural Stimulators A Multidisciplinary Approach ent://SD_ILS/0/SD_ILS:2087409 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;van Dongen, Marijn. author.<br/><a href="https://doi.org/10.1007/978-3-319-28131-5">https://doi.org/10.1007/978-3-319-28131-5</a><br/>Format:&#160;Electronic Resources<br/> Invasive Tightly Coupled Processor Arrays ent://SD_ILS/0/SD_ILS:2088276 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;LARI, VAHID. author.<br/><a href="https://doi.org/10.1007/978-981-10-1058-3">https://doi.org/10.1007/978-981-10-1058-3</a><br/>Format:&#160;Electronic Resources<br/> Introduction to Biosensors From Electric Circuits to Immunosensors ent://SD_ILS/0/SD_ILS:2086555 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Yoon, Jeong-Yeol. author.<br/><a href="https://doi.org/10.1007/978-3-319-27413-3">https://doi.org/10.1007/978-3-319-27413-3</a><br/>Format:&#160;Electronic Resources<br/> 3D Flash Memories ent://SD_ILS/0/SD_ILS:2084457 2024-05-29T20:42:41Z 2024-05-29T20:42:41Z by&#160;Micheloni, Rino. editor.<br/><a href="https://doi.org/10.1007/978-94-017-7512-0">https://doi.org/10.1007/978-94-017-7512-0</a><br/>Format:&#160;Electronic Resources<br/>