Search Results for - Narrowed by: 2018 - Electronics. SirsiDynix Enterprise https://catalog.iyte.edu.tr/client/en_US/default/default/qf$003dPUBDATE$002509Publication$002bDate$0025092018$0025092018$0026qf$003dSUBJECT$002509Subject$002509Electronics.$002509Electronics.$0026ps$003d300$0026isd$003dtrue? 2024-06-21T02:30:43Z Infrared Photodetectors Based on Low-Dimensional Materials ent://SD_ILS/0/SD_ILS:2083484 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Guo, Nan. author.<br/><a href="https://doi.org/10.1007/978-981-13-2838-1">https://doi.org/10.1007/978-981-13-2838-1</a><br/>Format:&#160;Electronic Resources<br/> Spectral, Convolution and Numerical Techniques in Circuit Theory ent://SD_ILS/0/SD_ILS:2086827 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Badrieh, Fuad. author.<br/><a href="https://doi.org/10.1007/978-3-319-71437-0">https://doi.org/10.1007/978-3-319-71437-0</a><br/>Format:&#160;Electronic Resources<br/> Microelectronics, Electromagnetics and Telecommunications Proceedings of ICMEET 2017 ent://SD_ILS/0/SD_ILS:2087279 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Anguera, Jaume. editor.<br/><a href="https://doi.org/10.1007/978-981-10-7329-8">https://doi.org/10.1007/978-981-10-7329-8</a><br/>Format:&#160;Electronic Resources<br/> Dependable Multicore Architectures at Nanoscale ent://SD_ILS/0/SD_ILS:2087995 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Ottavi, Marco. editor.<br/><a href="https://doi.org/10.1007/978-3-319-54422-9">https://doi.org/10.1007/978-3-319-54422-9</a><br/>Format:&#160;Electronic Resources<br/> Adapted Compressed Sensing for Effective Hardware Implementations A Design Flow for Signal-Level Optimization of Compressed Sensing Stages ent://SD_ILS/0/SD_ILS:2087106 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Mangia, Mauro. author.<br/><a href="https://doi.org/10.1007/978-3-319-61373-4">https://doi.org/10.1007/978-3-319-61373-4</a><br/>Format:&#160;Electronic Resources<br/> Contactless VLSI Measurement and Testing Techniques ent://SD_ILS/0/SD_ILS:2087109 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Sayil, Selahattin. author.<br/><a href="https://doi.org/10.1007/978-3-319-69673-7">https://doi.org/10.1007/978-3-319-69673-7</a><br/>Format:&#160;Electronic Resources<br/> Fundamentals of Electrical Circuit Analysis ent://SD_ILS/0/SD_ILS:2087110 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Salam, Md. Abdus. author.<br/><a href="https://doi.org/10.1007/978-981-10-8624-3">https://doi.org/10.1007/978-981-10-8624-3</a><br/>Format:&#160;Electronic Resources<br/> Digital Storage in Consumer Electronics The Essential Guide ent://SD_ILS/0/SD_ILS:2087119 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Coughlin, Thomas M. author.<br/><a href="https://doi.org/10.1007/978-3-319-69907-3">https://doi.org/10.1007/978-3-319-69907-3</a><br/>Format:&#160;Electronic Resources<br/> Machine Learning for Model Order Reduction ent://SD_ILS/0/SD_ILS:2087824 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Mohamed, Khaled Salah. author.<br/><a href="https://doi.org/10.1007/978-3-319-75714-8">https://doi.org/10.1007/978-3-319-75714-8</a><br/>Format:&#160;Electronic Resources<br/> Semiconductor Power Devices Physics, Characteristics, Reliability ent://SD_ILS/0/SD_ILS:2087790 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Lutz, Josef. author.<br/><a href="https://doi.org/10.1007/978-3-319-70917-8">https://doi.org/10.1007/978-3-319-70917-8</a><br/>Format:&#160;Electronic Resources<br/> Self-similarity in Walsh Functions and in the Farfield Diffraction Patterns of Radial Walsh Filters ent://SD_ILS/0/SD_ILS:2088106 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Hazra, Lakshminarayan. author.<br/><a href="https://doi.org/10.1007/978-981-10-2809-0">https://doi.org/10.1007/978-981-10-2809-0</a><br/>Format:&#160;Electronic Resources<br/> Nonlinear dipoles, harmonic oscillators and switching circuits ent://SD_ILS/0/SD_ILS:2215647 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Haraoubia, Brahim, author.<br/><a href="https://ebookcentral.proquest.com/lib/iyte/detail.action?docID=5597944">Click to View</a><br/>Format:&#160;Electronic Resources<br/> Magnetostatic Modelling of Thin Layers Using the Method of Moments And Its Implementation in Octave/Matlab ent://SD_ILS/0/SD_ILS:2086638 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Szewczyk, Roman. author.<br/><a href="https://doi.org/10.1007/978-3-319-77985-0">https://doi.org/10.1007/978-3-319-77985-0</a><br/>Format:&#160;Electronic Resources<br/> Innovative Design, Manufacturing and Testing of Small Satellites ent://SD_ILS/0/SD_ILS:2087040 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Madry, Scott. author.<br/><a href="https://doi.org/10.1007/978-3-319-75094-1">https://doi.org/10.1007/978-3-319-75094-1</a><br/>Format:&#160;Electronic Resources<br/> Automated Validation &amp; Verification of UML/OCL Models Using Satisfiability Solvers ent://SD_ILS/0/SD_ILS:2087238 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Przigoda, Nils. author.<br/><a href="https://doi.org/10.1007/978-3-319-72814-8">https://doi.org/10.1007/978-3-319-72814-8</a><br/>Format:&#160;Electronic Resources<br/> Applications in Electronics Pervading Industry, Environment and Society APPLEPIES 2016 ent://SD_ILS/0/SD_ILS:2087176 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;De Gloria, Alessandro. editor.<br/><a href="https://doi.org/10.1007/978-3-319-55071-8">https://doi.org/10.1007/978-3-319-55071-8</a><br/>Format:&#160;Electronic Resources<br/> The Essentials of Power System Dynamics and Control ent://SD_ILS/0/SD_ILS:2086630 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Pota, Hemanshu Roy. author.<br/><a href="https://doi.org/10.1007/978-981-10-8914-5">https://doi.org/10.1007/978-981-10-8914-5</a><br/>Format:&#160;Electronic Resources<br/> High-Resolution Microwave Imaging ent://SD_ILS/0/SD_ILS:2086776 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Yang, Ruliang. author.<br/><a href="https://doi.org/10.1007/978-981-10-7138-6">https://doi.org/10.1007/978-981-10-7138-6</a><br/>Format:&#160;Electronic Resources<br/> Flight Systems and Control A Practical Approach ent://SD_ILS/0/SD_ILS:2086726 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Ng, Tian Seng. author.<br/><a href="https://doi.org/10.1007/978-981-10-8721-9">https://doi.org/10.1007/978-981-10-8721-9</a><br/>Format:&#160;Electronic Resources<br/> Simulating Nonlinear Circuits with Python Power Electronics An Open-Source Simulator, Based on Python&trade; ent://SD_ILS/0/SD_ILS:2087557 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Iyer, Shivkumar V. author.<br/><a href="https://doi.org/10.1007/978-3-319-73984-7">https://doi.org/10.1007/978-3-319-73984-7</a><br/>Format:&#160;Electronic Resources<br/> ZnO Thin-Film Transistors for Cost-Efficient Flexible Electronics ent://SD_ILS/0/SD_ILS:2087660 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Vidor, F&aacute;bio Fedrizzi. author.<br/><a href="https://doi.org/10.1007/978-3-319-72556-7">https://doi.org/10.1007/978-3-319-72556-7</a><br/>Format:&#160;Electronic Resources<br/> Cyber Physical Computing for IoT-driven Services ent://SD_ILS/0/SD_ILS:2088030 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Hahanov, Vladimir. author.<br/><a href="https://doi.org/10.1007/978-3-319-54825-8">https://doi.org/10.1007/978-3-319-54825-8</a><br/>Format:&#160;Electronic Resources<br/> Control Techniques for LCL-Type Grid-Connected Inverters ent://SD_ILS/0/SD_ILS:2087953 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Ruan, Xinbo. author.<br/><a href="https://doi.org/10.1007/978-981-10-4277-5">https://doi.org/10.1007/978-981-10-4277-5</a><br/>Format:&#160;Electronic Resources<br/> Modern Functional Evaluation Methods for Muscle Strength and Gait Analysis ent://SD_ILS/0/SD_ILS:2087963 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Ancillao, Andrea. author.<br/><a href="https://doi.org/10.1007/978-3-319-67437-7">https://doi.org/10.1007/978-3-319-67437-7</a><br/>Format:&#160;Electronic Resources<br/> Parasitic Substrate Coupling in High Voltage Integrated Circuits Minority and Majority Carriers Propagation in Semiconductor Substrate ent://SD_ILS/0/SD_ILS:2087967 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Buccella, Pietro. author.<br/><a href="https://doi.org/10.1007/978-3-319-74382-0">https://doi.org/10.1007/978-3-319-74382-0</a><br/>Format:&#160;Electronic Resources<br/> Tantalum and Niobium-Based Capacitors Science, Technology, and Applications ent://SD_ILS/0/SD_ILS:2087972 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Freeman, Yuri. author.<br/><a href="https://doi.org/10.1007/978-3-319-67870-2">https://doi.org/10.1007/978-3-319-67870-2</a><br/>Format:&#160;Electronic Resources<br/> Embedded System Design Embedded Systems Foundations of Cyber-Physical Systems, and the Internet of Things ent://SD_ILS/0/SD_ILS:2087985 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Marwedel, Peter. author.<br/><a href="https://doi.org/10.1007/978-3-319-56045-8">https://doi.org/10.1007/978-3-319-56045-8</a><br/>Format:&#160;Electronic Resources<br/> Recent Trends in Control and Sensor Systems in Emergency Management ent://SD_ILS/0/SD_ILS:2087987 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Szewczyk, Roman. editor.<br/><a href="https://doi.org/10.1007/978-3-319-70452-4">https://doi.org/10.1007/978-3-319-70452-4</a><br/>Format:&#160;Electronic Resources<br/> Global Mobile Satellite Communications Applications For Maritime, Land and Aeronautical Applications Volume 2 ent://SD_ILS/0/SD_ILS:2087990 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Ilcev, Stojce Dimov. author.<br/><a href="https://doi.org/10.1007/978-3-319-71858-3">https://doi.org/10.1007/978-3-319-71858-3</a><br/>Format:&#160;Electronic Resources<br/> Energy Efficient Embedded Video Processing Systems A Hardware-Software Collaborative Approach ent://SD_ILS/0/SD_ILS:2088233 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Khan, Muhammad Usman Karim. author.<br/><a href="https://doi.org/10.1007/978-3-319-61455-7">https://doi.org/10.1007/978-3-319-61455-7</a><br/>Format:&#160;Electronic Resources<br/> Handbook of Thermal Science and Engineering ent://SD_ILS/0/SD_ILS:2088180 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;SpringerLink (Online service)<br/><a href="https://doi.org/10.1007/978-3-319-26695-4">https://doi.org/10.1007/978-3-319-26695-4</a><br/>Format:&#160;Electronic Resources<br/> Micro and Nanomanufacturing Volume II ent://SD_ILS/0/SD_ILS:2088001 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Jackson, Mark J. editor.<br/><a href="https://doi.org/10.1007/978-3-319-67132-1">https://doi.org/10.1007/978-3-319-67132-1</a><br/>Format:&#160;Electronic Resources<br/> Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores ent://SD_ILS/0/SD_ILS:2086900 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Pagani, Santiago. author.<br/><a href="https://doi.org/10.1007/978-3-319-77479-4">https://doi.org/10.1007/978-3-319-77479-4</a><br/>Format:&#160;Electronic Resources<br/> Sensors Proceedings of the Third National Conference on Sensors, February 23-25, 2016, Rome, Italy ent://SD_ILS/0/SD_ILS:2087894 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;And&ograve;, Bruno. editor.<br/><a href="https://doi.org/10.1007/978-3-319-55077-0">https://doi.org/10.1007/978-3-319-55077-0</a><br/>Format:&#160;Electronic Resources<br/> Languages, Design Methods, and Tools for Electronic System Design Selected Contributions from FDL 2016 ent://SD_ILS/0/SD_ILS:2086887 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Fummi, Franco. editor.<br/><a href="https://doi.org/10.1007/978-3-319-62920-9">https://doi.org/10.1007/978-3-319-62920-9</a><br/>Format:&#160;Electronic Resources<br/> Memristor Technology: Synthesis and Modeling for Sensing and Security Applications ent://SD_ILS/0/SD_ILS:2087855 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Abunahla, Heba. author.<br/><a href="https://doi.org/10.1007/978-3-319-65699-1">https://doi.org/10.1007/978-3-319-65699-1</a><br/>Format:&#160;Electronic Resources<br/> Hands-on Experience with Altera FPGA Development Boards ent://SD_ILS/0/SD_ILS:2086227 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Parab, Jivan S. author.<br/><a href="https://doi.org/10.1007/978-81-322-3769-3">https://doi.org/10.1007/978-81-322-3769-3</a><br/>Format:&#160;Electronic Resources<br/> Advances in Human Factors and Systems Interaction Proceedings of the AHFE 2017 International Conference on Human Factors and Systems Interaction, July 17&minus;21, 2017, The Westin Bonaventure Hotel, Los Angeles, California, USA ent://SD_ILS/0/SD_ILS:2086465 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Nunes, Isabel L. editor.<br/><a href="https://doi.org/10.1007/978-3-319-60366-7">https://doi.org/10.1007/978-3-319-60366-7</a><br/>Format:&#160;Electronic Resources<br/> Analysis and Simulation of Electrical and Computer Systems ent://SD_ILS/0/SD_ILS:2086467 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Mazur, Damian. editor.<br/><a href="https://doi.org/10.1007/978-3-319-63949-9">https://doi.org/10.1007/978-3-319-63949-9</a><br/>Format:&#160;Electronic Resources<br/> Accurate and Robust Spectral Testing with Relaxed Instrumentation Requirements ent://SD_ILS/0/SD_ILS:2086320 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Zhuang, Yuming. author.<br/><a href="https://doi.org/10.1007/978-3-319-77718-4">https://doi.org/10.1007/978-3-319-77718-4</a><br/>Format:&#160;Electronic Resources<br/> Sensors and Microsystems Proceedings of the 19th AISEM 2017 National Conference ent://SD_ILS/0/SD_ILS:2086427 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Leone, Alessandro. editor.<br/><a href="https://doi.org/10.1007/978-3-319-66802-4">https://doi.org/10.1007/978-3-319-66802-4</a><br/>Format:&#160;Electronic Resources<br/> Sensors and Image Processing Proceedings of CSI 2015 ent://SD_ILS/0/SD_ILS:2086249 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Urooj, Shabana. editor.<br/><a href="https://doi.org/10.1007/978-981-10-6614-6">https://doi.org/10.1007/978-981-10-6614-6</a><br/>Format:&#160;Electronic Resources<br/> Foundations of Heterogeneous Integration: An Industry-Based, 2.5D/3D Pathfinding and Co-Design Approach ent://SD_ILS/0/SD_ILS:2086390 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Yazdani, Farhang. author.<br/><a href="https://doi.org/10.1007/978-3-319-75769-8">https://doi.org/10.1007/978-3-319-75769-8</a><br/>Format:&#160;Electronic Resources<br/> Solid State Lighting Reliability Part 2 Components to Systems ent://SD_ILS/0/SD_ILS:2087497 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;van Driel, Willem Dirk. editor.<br/><a href="https://doi.org/10.1007/978-3-319-58175-0">https://doi.org/10.1007/978-3-319-58175-0</a><br/>Format:&#160;Electronic Resources<br/> Research on Chemical Mechanical Polishing Mechanism of Novel Diffusion Barrier Ru for Cu Interconnect ent://SD_ILS/0/SD_ILS:2087405 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Cheng, Jie. author.<br/><a href="https://doi.org/10.1007/978-981-10-6165-3">https://doi.org/10.1007/978-981-10-6165-3</a><br/>Format:&#160;Electronic Resources<br/> Automated Electronic Filter Design With Emphasis on Distributed Filters ent://SD_ILS/0/SD_ILS:2087602 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Banerjee, Amal. author.<br/><a href="https://doi.org/10.1007/978-3-319-61554-7">https://doi.org/10.1007/978-3-319-61554-7</a><br/>Format:&#160;Electronic Resources<br/> Formal System Verification State-of the-Art and Future Trends ent://SD_ILS/0/SD_ILS:2088042 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Drechsler, Rolf. editor.<br/><a href="https://doi.org/10.1007/978-3-319-57685-5">https://doi.org/10.1007/978-3-319-57685-5</a><br/>Format:&#160;Electronic Resources<br/> High-Resolution and High-Speed Integrated CMOS AD Converters for Low-Power Applications ent://SD_ILS/0/SD_ILS:2087785 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Li, Weitao. author.<br/><a href="https://doi.org/10.1007/978-3-319-62012-1">https://doi.org/10.1007/978-3-319-62012-1</a><br/>Format:&#160;Electronic Resources<br/> Proceedings of 2nd International Conference on Micro-Electronics, Electromagnetics and Telecommunications ICMEET 2016 ent://SD_ILS/0/SD_ILS:2088356 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Satapathy, Suresh Chandra. editor.<br/><a href="https://doi.org/10.1007/978-981-10-4280-5">https://doi.org/10.1007/978-981-10-4280-5</a><br/>Format:&#160;Electronic Resources<br/> Modeling, Analysis and Control of Hydraulic Actuator for Forging ent://SD_ILS/0/SD_ILS:2087100 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Lu, Xinjiang. author.<br/><a href="https://doi.org/10.1007/978-981-10-5583-6">https://doi.org/10.1007/978-981-10-5583-6</a><br/>Format:&#160;Electronic Resources<br/> Handheld Total Chemical and Biological Analysis Systems Bridging NMR, Digital Microfluidics, and Semiconductors ent://SD_ILS/0/SD_ILS:2087803 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Lei, Ka-Meng. author.<br/><a href="https://doi.org/10.1007/978-3-319-67825-2">https://doi.org/10.1007/978-3-319-67825-2</a><br/>Format:&#160;Electronic Resources<br/> Cybersecurity in China The Next Wave ent://SD_ILS/0/SD_ILS:2084118 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Austin, Greg. author.<br/><a href="https://doi.org/10.1007/978-3-319-68436-9">https://doi.org/10.1007/978-3-319-68436-9</a><br/>Format:&#160;Electronic Resources<br/> Measurement, Testing and Sensor Technology Fundamentals and Application to Materials and Technical Systems ent://SD_ILS/0/SD_ILS:2083546 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Czichos, Horst. author.<br/><a href="https://doi.org/10.1007/978-3-319-76385-9">https://doi.org/10.1007/978-3-319-76385-9</a><br/>Format:&#160;Electronic Resources<br/> Nanopackaging Nanotechnologies and Electronics Packaging ent://SD_ILS/0/SD_ILS:2083474 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Morris, James E. editor.<br/><a href="https://doi.org/10.1007/978-3-319-90362-0">https://doi.org/10.1007/978-3-319-90362-0</a><br/>Format:&#160;Electronic Resources<br/> Principles and Structures of FPGAs ent://SD_ILS/0/SD_ILS:2084256 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Amano, Hideharu. editor.<br/><a href="https://doi.org/10.1007/978-981-13-0824-6">https://doi.org/10.1007/978-981-13-0824-6</a><br/>Format:&#160;Electronic Resources<br/> The Hardware Trojan War Attacks, Myths, and Defenses ent://SD_ILS/0/SD_ILS:2086839 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Bhunia, Swarup. editor.<br/><a href="https://doi.org/10.1007/978-3-319-68511-3">https://doi.org/10.1007/978-3-319-68511-3</a><br/>Format:&#160;Electronic Resources<br/> Principles of Free Electron Lasers ent://SD_ILS/0/SD_ILS:2086879 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Freund, H. P. author.<br/><a href="https://doi.org/10.1007/978-3-319-75106-1">https://doi.org/10.1007/978-3-319-75106-1</a><br/>Format:&#160;Electronic Resources<br/> Research on the Radiation Effects and Compact Model of SiGe HBT ent://SD_ILS/0/SD_ILS:2087908 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Sun, Yabin. author.<br/><a href="https://doi.org/10.1007/978-981-10-4612-4">https://doi.org/10.1007/978-981-10-4612-4</a><br/>Format:&#160;Electronic Resources<br/> Micro Electro Mechanical Systems ent://SD_ILS/0/SD_ILS:2086735 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Huang, Qing-An. editor.<br/><a href="https://doi.org/10.1007/978-981-10-5945-2">https://doi.org/10.1007/978-981-10-5945-2</a><br/>Format:&#160;Electronic Resources<br/> Inkjet-Configurable Gate Array Towards Application Specific Printed Electronic Circuits ent://SD_ILS/0/SD_ILS:2086409 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Mashayekhi, Mohammad. author.<br/><a href="https://doi.org/10.1007/978-3-319-72116-3">https://doi.org/10.1007/978-3-319-72116-3</a><br/>Format:&#160;Electronic Resources<br/> Batteryless mm-Wave Wireless Sensors ent://SD_ILS/0/SD_ILS:2086707 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Gao, Hao. author.<br/><a href="https://doi.org/10.1007/978-3-319-72980-0">https://doi.org/10.1007/978-3-319-72980-0</a><br/>Format:&#160;Electronic Resources<br/> Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip ent://SD_ILS/0/SD_ILS:2087146 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Meinerzhagen, Pascal. author.<br/><a href="https://doi.org/10.1007/978-3-319-60402-2">https://doi.org/10.1007/978-3-319-60402-2</a><br/>Format:&#160;Electronic Resources<br/> Ultra Low Noise CMOS Image Sensors ent://SD_ILS/0/SD_ILS:2086787 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Boukhayma, Assim. author.<br/><a href="https://doi.org/10.1007/978-3-319-68774-2">https://doi.org/10.1007/978-3-319-68774-2</a><br/>Format:&#160;Electronic Resources<br/> 3D TCAD Simulation for CMOS Nanoeletronic Devices ent://SD_ILS/0/SD_ILS:2087276 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Wu, Yung-Chun. author.<br/><a href="https://doi.org/10.1007/978-981-10-3066-6">https://doi.org/10.1007/978-981-10-3066-6</a><br/>Format:&#160;Electronic Resources<br/> MEMS Lorentz Force Magnetometers From Specifications to Product ent://SD_ILS/0/SD_ILS:2087396 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Buffa, Cesare. author.<br/><a href="https://doi.org/10.1007/978-3-319-59412-5">https://doi.org/10.1007/978-3-319-59412-5</a><br/>Format:&#160;Electronic Resources<br/> Wireless Information and Power Transfer: A New Paradigm for Green Communications ent://SD_ILS/0/SD_ILS:2087402 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Jayakody, Dushantha Nalin K. editor.<br/><a href="https://doi.org/10.1007/978-3-319-56669-6">https://doi.org/10.1007/978-3-319-56669-6</a><br/>Format:&#160;Electronic Resources<br/> Embedded Platforms for UAS Landing Path and Obstacle Detection Integration and Development of Unmanned Aircraft Systems ent://SD_ILS/0/SD_ILS:2086748 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Papa, Umberto. author.<br/><a href="https://doi.org/10.1007/978-3-319-73174-2">https://doi.org/10.1007/978-3-319-73174-2</a><br/>Format:&#160;Electronic Resources<br/> Timing Performance of Nanometer Digital Circuits Under Process Variations ent://SD_ILS/0/SD_ILS:2086718 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Champac, Victor. author.<br/><a href="https://doi.org/10.1007/978-3-319-75465-9">https://doi.org/10.1007/978-3-319-75465-9</a><br/>Format:&#160;Electronic Resources<br/> Getting Started with Tiva ARM Cortex M4 Microcontrollers A Lab Manual for Tiva LaunchPad Evaluation Kit ent://SD_ILS/0/SD_ILS:2087148 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Gadre, Dhananjay V. author.<br/><a href="https://doi.org/10.1007/978-81-322-3766-2">https://doi.org/10.1007/978-81-322-3766-2</a><br/>Format:&#160;Electronic Resources<br/> Foundations of Trusted Autonomy ent://SD_ILS/0/SD_ILS:2086816 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Abbass, Hussein A. editor.<br/><a href="https://doi.org/10.1007/978-3-319-64816-3">https://doi.org/10.1007/978-3-319-64816-3</a><br/>Format:&#160;Electronic Resources<br/> Radiation Hardened CMOS Integrated Circuits for Time-Based Signal Processing ent://SD_ILS/0/SD_ILS:2086997 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Prinzie, Jeffrey. author.<br/><a href="https://doi.org/10.1007/978-3-319-78616-2">https://doi.org/10.1007/978-3-319-78616-2</a><br/>Format:&#160;Electronic Resources<br/> Antenna Design Solutions for RFID Tags Based on Metamaterial-Inspired Resonators and Other Resonant Structures ent://SD_ILS/0/SD_ILS:2087936 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Zuffanelli, Simone. author.<br/><a href="https://doi.org/10.1007/978-3-319-62030-5">https://doi.org/10.1007/978-3-319-62030-5</a><br/>Format:&#160;Electronic Resources<br/> Low Power Active Electrode ICs for Wearable EEG Acquisition ent://SD_ILS/0/SD_ILS:2087939 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Xu, Jiawei. author.<br/><a href="https://doi.org/10.1007/978-3-319-74863-4">https://doi.org/10.1007/978-3-319-74863-4</a><br/>Format:&#160;Electronic Resources<br/> Symbolic Parallelization of Nested Loop Programs ent://SD_ILS/0/SD_ILS:2087940 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Tanase, Alexandru-Petru. author.<br/><a href="https://doi.org/10.1007/978-3-319-73909-0">https://doi.org/10.1007/978-3-319-73909-0</a><br/>Format:&#160;Electronic Resources<br/> Coexistence of IMT-Advanced Systems for Spectrum Sharing with FSS Receivers in C-Band and Extended C-Band ent://SD_ILS/0/SD_ILS:2087813 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Abdulrazak, Lway Faisal. author.<br/><a href="https://doi.org/10.1007/978-3-319-70588-0">https://doi.org/10.1007/978-3-319-70588-0</a><br/>Format:&#160;Electronic Resources<br/> Fundamentals of Electromigration-Aware Integrated Circuit Design ent://SD_ILS/0/SD_ILS:2087817 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Lienig, Jens. author.<br/><a href="https://doi.org/10.1007/978-3-319-73558-0">https://doi.org/10.1007/978-3-319-73558-0</a><br/>Format:&#160;Electronic Resources<br/> Beginner's guide to reading schematics ent://SD_ILS/0/SD_ILS:2317146 2024-06-21T02:30:43Z 2024-06-21T02:30:43Z by&#160;Gibilisco, Stan, author.<br/><a href="https://learning.oreilly.com/library/view/~/9781260031119/?ar">https://learning.oreilly.com/library/view/~/9781260031119/?ar</a> <a href="https://go.oreilly.com/library-access/library/view/-/9781260031119/?ar">https://go.oreilly.com/library-access/library/view/-/9781260031119/?ar</a><br/>Format:&#160;Electronic Resources<br/>